Hirdetés
- Milyen Android TV boxot vegyek?
- Internet Rádió építése (hardver), és programozása
- Már az MSI-nek is van 500 Hz-es QD-OLED monitora
- 5.1, 7.1 és gamer fejhallgatók
- Intel Core i5 / i7 / i9 "Alder Lake-Raptor Lake/Refresh" (LGA1700)
- Bluetooth hangszórók
- Milyen billentyűzetet vegyek?
- NVIDIA GeForce RTX 5080 / 5090 (GB203 / 202)
- T Tablet 2 5G - megkopott magenta
- AMD K6-III, és minden ami RETRO - Oldschool tuning
Új hozzászólás Aktív témák
-
ftc
nagyúr
válasz
VaniliásRönk #3 üzenetére
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity ALU is
port( A: in std_logic_vector(3 downto 0);
B: in std_logic_vector(3 downto 0);
sel: in std_logic_vector(2 downto 0);
result: out std_logic_vector(3 downto 0);
carry: out std_logic);
end ALU;
architecture behv of ALU is
begin
process(A,B,Sel)
variable tempresult:std_logic_vector (4 downto 0);
begin
case sel is
when "000" => --000 add.
tempresult := ('0' & A)+('0' & B);
when "001" => --001 sub
tempresult := ('0' & A) + (not ('0' & B)) + 1;
when "010" => --010 mul.
tempresult := ('0' & A)*('0' & B);
when "011" => --011 div.
tempresult := ('0' & A)/('0' & B);
when "100" => --100 and
tempresult := ('0' & A) and ('0' & B);
when "101" => --101 or
tempresult := ('0' & A) or ('0' & B);
end case;
result<=tempresult(3 downto 0);
carry<=tempresult(4);
end process;
end behv;ez egy vhdl kód egy ALU-é... jelenleg ezt is programozni kell ezt szeretnék felépíteni egy magasabb szintű program nyelvel...ami az OpenCL lenne... amit látsz ez csak egy kis alap... a legnagyobb gond, hogy 90%-ban elveszel a részletekben egy nagy projektnél
-
korcsi
veterán
válasz
VaniliásRönk #3 üzenetére
Sosem volt fixen bedrótozva, az összeköttetéseket lehet programozni a logikai blokkok között, ezért nehéz programozni, nekem sem maradt meg belőle sok a főiskola óta
Új hozzászólás Aktív témák
- Subaru topik
- Milyen Android TV boxot vegyek?
- Internet Rádió építése (hardver), és programozása
- Hyundai, Kia topik
- Már az MSI-nek is van 500 Hz-es QD-OLED monitora
- Autós topik
- Fotók, videók mobillal
- 5.1, 7.1 és gamer fejhallgatók
- Hitelkártyák használata, hitelkártya visszatérítés
- Intel Core i5 / i7 / i9 "Alder Lake-Raptor Lake/Refresh" (LGA1700)
- További aktív témák...
- HP Omen 80G8E9 - 27" IPS - UHD 4K - 144Hz 1ms - NVIDIA G-Sync - FreeSync - HDR 400 - USB Type-C
- Amazon Kindle 10th Generation ébresztős tok
- GYÖNYÖRŰ iPhone 13 Pro 128GB Silver -1 ÉV GARANCIA - Kártyafüggetlen, MS3081
- HIBÁTLAN iPhone 13 512GB Pink -1 ÉV GARANCIA - Kártyafüggetlen, MS3275, 100% Akkumulátor
- 10 GB-os RTX 3080 OEM
Állásajánlatok
Cég: CAMERA-PRO Hungary Kft.
Város: Budapest